[Asterisk-cvs] zaptel pciradio.c,1.10,1.11

markster at lists.digium.com markster at lists.digium.com
Thu Jun 16 20:57:26 CDT 2005


Update of /usr/cvsroot/zaptel
In directory mongoose.digium.com:/tmp/cvs-serv27423

Modified Files:
	pciradio.c 
Log Message:
Fix build on x86_64


Index: pciradio.c
===================================================================
RCS file: /usr/cvsroot/zaptel/pciradio.c,v
retrieving revision 1.10
retrieving revision 1.11
diff -u -d -r1.10 -r1.11
--- pciradio.c	24 Jan 2005 06:30:14 -0000	1.10
+++ pciradio.c	17 Jun 2005 00:58:45 -0000	1.11
@@ -499,7 +499,7 @@
 void mx828_command_wait(struct pciradio *rad,int channel, unsigned char command, unsigned char *byte1, unsigned char *byte2)
 {
 DECLARE_WAIT_QUEUE_HEAD(mywait);
-unsigned int flags;
+unsigned long flags;
 
 
 	spin_lock_irqsave(&rad->lock,flags);  




More information about the svn-commits mailing list