[Asterisk-cvs] zaptel pciradio.c, 1.3, 1.4 pciradio.rbt, 1.1, 1.2 pciradio.ucf, 1.1, 1.2 pciradio.vhd, 1.1, 1.2

jim at lists.digium.com jim at lists.digium.com
Mon Nov 22 21:04:30 CST 2004


Update of /usr/cvsroot/zaptel
In directory mongoose.digium.com:/tmp/cvs-serv5721

Modified Files:
	pciradio.c pciradio.rbt pciradio.ucf pciradio.vhd 
Log Message:
Added input for UIO lines in vhdl and properly support exttone in driver


Index: pciradio.c
===================================================================
RCS file: /usr/cvsroot/zaptel/pciradio.c,v
retrieving revision 1.3
retrieving revision 1.4
diff -u -d -r1.3 -r1.4
--- pciradio.c	21 Nov 2004 04:29:53 -0000	1.3
+++ pciradio.c	23 Nov 2004 02:04:12 -0000	1.4
@@ -806,11 +806,14 @@
 		if (rad->radmode[x] & RADMODE_EXTTONE)
 		{
 			unsigned mask = 1 << (x + 4);
+			unsigned char byteuio;
 
+			/* get UIO input */
+			byteuio = __pciradio_getcreg(rad,1);
 			if (rad->radmode[x] & RADMODE_EXTINVERT)
-				gotctcss = gotslowctcss = ((byte2 & mask) == 0);
+				gotctcss = gotslowctcss = ((byteuio & mask) == 0);
 			else
-				gotctcss = gotslowctcss = ((byte2 & mask) != 0);
+				gotctcss = gotslowctcss = ((byteuio & mask) != 0);
 		}
 		rad->gotct[x] = gotslowctcss;
 		if (rad->radmode[x] & RADMODE_IGNORECT)

Index: pciradio.rbt
===================================================================
RCS file: /usr/cvsroot/zaptel/pciradio.rbt,v
retrieving revision 1.1
retrieving revision 1.2
diff -u -d -r1.1 -r1.2
--- pciradio.rbt	21 Nov 2004 04:29:53 -0000	1.1
+++ pciradio.rbt	23 Nov 2004 02:04:12 -0000	1.2
@@ -3,7 +3,7 @@
 Design name: 	pciradio.ncd
 Architecture:	spartan2
 Part:        	2s30vq100
-Date:        	Sat Nov 20 21:18:41 2004
+Date:        	Mon Nov 22 18:40:36 2004
 Bits:        	336768
 11111111111111111111111111111111
 10101010100110010101010101100110
@@ -90,8 +90,8 @@
 00000000000000000000000000000000
 00000000000000000010000000000000
[...7405 lines suppressed...]
@@ -9189,8 +9189,8 @@
 00110000000000011100110000000000
 01110011000000000001110011000000
 00000111001100000000000111001100
-00000000011100110000000000010000
-00000000000001110011000000000001
+00000000011100110000000000011100
+11000000000001110011000000000001
 11001100000000000111001100000000
 00011100110000100000010000000000
 00000000000000000000000000000000
@@ -10506,7 +10506,7 @@
 00000000000000000000000000000000
 00000000000000000000000000000000
 00110000000000000000000000000001
-00000000000000000100100000100111
+00000000000000000001010100111001
 00110000000000001000000000000001
 00000000000000000000000000000011
 00110000000000000100000000001001

Index: pciradio.ucf
===================================================================
RCS file: /usr/cvsroot/zaptel/pciradio.ucf,v
retrieving revision 1.1
retrieving revision 1.2
diff -u -d -r1.1 -r1.2
--- pciradio.ucf	21 Nov 2004 04:29:53 -0000	1.1
+++ pciradio.ucf	23 Nov 2004 02:04:12 -0000	1.2
@@ -104,11 +104,26 @@
 NET "test<3>" IOSTANDARD = LVTTL;
 NET "tjfsc" IOSTANDARD = LVTTL;
 NET "write" IOSTANDARD = LVTTL;
-NET "ptt<0>" PULLUP;
-NET "ptt<1>" PULLUP;
-NET "ptt<2>" PULLUP;
-NET "ptt<3>" PULLUP;
 NET "cor<0>" PULLUP;
 NET "cor<1>" PULLUP;
 NET "cor<2>" PULLUP;
 NET "cor<3>" PULLUP;
+NET "uioa<0>" LOC = "p3";
+NET "uioa<1>" LOC = "p4";
+NET "uioa<2>" LOC = "p5";
+NET "uioa<3>" LOC = "p6";
+NET "uiob<0>" LOC = "p7";
+NET "uiob<1>" LOC = "p8";
+NET "uiob<2>" LOC = "p9";
+NET "uiob<3>" LOC = "p10";
+NET "uioa<0>" IOSTANDARD = LVTTL;
+NET "uioa<1>" IOSTANDARD = LVTTL;
+NET "uioa<2>" IOSTANDARD = LVTTL;
+NET "uioa<3>" IOSTANDARD = LVTTL;
+NET "uiob<0>" IOSTANDARD = LVTTL;
+NET "uiob<1>" IOSTANDARD = LVTTL;
+NET "uiob<2>" IOSTANDARD = LVTTL;
+NET "uiob<3>" IOSTANDARD = LVTTL;
+NET "write" IOSTANDARD = LVTTL;
+NET "clk0" IOSTANDARD = LVTTL;
+NET "rst" IOSTANDARD = LVTTL;

Index: pciradio.vhd
===================================================================
RCS file: /usr/cvsroot/zaptel/pciradio.vhd,v
retrieving revision 1.1
retrieving revision 1.2
diff -u -d -r1.1 -r1.2
--- pciradio.vhd	21 Nov 2004 04:29:53 -0000	1.1
+++ pciradio.vhd	23 Nov 2004 02:04:12 -0000	1.2
@@ -1,4 +1,4 @@
--- Prototype VHDL for Zapata Telephony PCI Radio Card, Rev. A 11/20/04
+-- Prototype VHDL for Zapata Telephony PCI Radio Card, Rev. A  ver 0.2 11/22/04
 -- Author: Jim Dixon, WB6NIL <jim at lambdatel.com>
 --
 -- Copyright (c) 2001-2002, Jim Dixon. 
@@ -27,6 +27,10 @@
 -- Offset 0, Read
 -- Bit 0-3 - COR 0-3
 -- Bit 4 - DOUT
+--
+-- Offset 1, Read
+-- Bit 0-3 - UIOA0-3
+-- Bit 4-7 - UIOB0-3
 
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
@@ -51,7 +55,9 @@
 		COR	:	in std_logic_vector(3 downto 0);
 		PTT : out std_logic_vector(3 downto 0);
 		TEST : out std_logic_vector(3 downto 0);
-		CS : out std_logic_vector( 3 downto 0);
+		UIOA : in std_logic_vector(3 downto 0);
+		UIOB : in std_logic_vector(3 downto 0);
+		CS : out std_logic_vector(3 downto 0);
 		SCLK : out std_logic;
 		DIN : out std_logic;
 		DOUT	:	in std_logic;
@@ -147,6 +153,9 @@
 			DBR(4) <= DOUT;
 			DBR(5) <= IRQ;
 			DBR(7 downto 6) <= "00";
+		elsif(A = "0001") then
+			DBR(3 downto 0) <= UIOA;
+			DBR(7 downto 4) <= UIOB;
 		else
 			DBR <= "00000000";
 		end if;




More information about the svn-commits mailing list