[dahdi-commits] tzafrir: linux/trunk r10535 - /linux/trunk/drivers/dahdi/xpp/firmwares/

SVN commits to the DAHDI project dahdi-commits at lists.digium.com
Sun Mar 18 09:50:06 CDT 2012


Author: tzafrir
Date: Sun Mar 18 09:49:58 2012
New Revision: 10535

URL: http://svnview.digium.com/svn/dahdi?view=rev&rev=10535
Log:
xpp: firmwares to support E-Main 4

USB firmware (USB_FW.201.hex 10402) and FPGA firmware
(FPGA_1161.201.hex 10480) with support of the new E-Main 4 Astribank
mainboard.

(This was accidentally labeled as 'E-Main 3' in some previous commit
messages)

Signed-off-by: Tzafrir Cohen <tzafrir.cohen at xorcom.com>

Added:
    linux/trunk/drivers/dahdi/xpp/firmwares/FPGA_1161.201.hex   (with props)
    linux/trunk/drivers/dahdi/xpp/firmwares/USB_FW.201.hex   (with props)

Added: linux/trunk/drivers/dahdi/xpp/firmwares/FPGA_1161.201.hex
URL: http://svnview.digium.com/svn/dahdi/linux/trunk/drivers/dahdi/xpp/firmwares/FPGA_1161.201.hex?view=auto&rev=10535
==============================================================================
--- linux/trunk/drivers/dahdi/xpp/firmwares/FPGA_1161.201.hex (added)
+++ linux/trunk/drivers/dahdi/xpp/firmwares/FPGA_1161.201.hex Sun Mar 18 09:49:58 2012
@@ -1,0 +1,20442 @@
+#
+# $Id: FPGA_1161.201.hex 10480 2012-03-14 08:36:25Z dima $
+#
+:020000040000FA
+:10000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00
+:100010005599AA660C8500E004008C85C0018C828D
+:10002000BC008C8691778C43200008C90C8700F3B4
+:100030000C83008104000400040004000400040098
+:100040000400040004000400040004000400040090
+:10005000040004000400CC813C138C8110812C84AA
+:1000600000004C8000F88C87FFFFCC8400A0CC827D
+:100070000020CC8080004C8600004C8100004C8524
+:1000800000004C8300004C870000CC85D847CC434F
+:1000900000000000040004000C44000000000C8577
+:1000A00000800A06000080A200000000000000009E
+:1000B0000000000000000000000000000000000040
+:1000C0000000000000000000000000000000000030
+:1000D0000000000000000000000000000000000020
+:1000E00000000000000000000000089000004890A0
+:1000F0000000000000000000000000000000000000
+:1001000000000000000000000000000000000000EF
+:1001100000000000000000000000000000000000DF
+:1001200000000000000000000000000000000000CF
+:1001300000000000000000000000000000000000BF
+:1001400000000000000000000000000000000000AF
+:10015000000000000000000000000000000000009F
+:10016000000000000000000000000000004000014E
+:10017000004000000000000000000000000000003F
+:10018000000000000000000000000000000000006F
+:10019000000000000000000000000000000000005F
+:1001A000000000000000000000000000000000004F
+:1001B000000000000000000000000000000000003F
+:1001C000000000000000000000000000000000002F
+:1001D000000000000000000000000000000000001F
+:1001E00000000000000000000000000000001000FF
+:1001F00000001000000000000000000000000000EF
+:1002000000000000000000000000000000000000EE
+:1002100000000000000000000000000000000000DE
+:1002200000000000000000000000000000000000CE
+:100230000000000000002500000000000000000099
+:1002400000000000000000000000000000000000AE
+:10025000000000000000000000000000000000009E
+:1002600020D00000000000000C0000000000000092
+:10027000000000000000000000000000400000003E
+:10028000000000000000000000000000000000006E
+:10029000000000000000000000000000000000005E
+:1002A000000000000000000000000000000000004E
+:1002B000000000000000000000000000000000003E
+:1002C000000000000000000000000000000000002E
+:1002D000000000000000000000000000000000001E
+:1002E000000000000000000000000000000000000E
+:1002F00000000000000000000000000000000000FE
+:1003000000000000000000000000000000000000ED
+:1003100000000000000000000000000000000000DD
+:1003200000000000000000000000000000000000CD
+:10033000000000388FBE0C8500400400040004005B
+:1003400004000400040004000400CC2600000000A7
+:10035000000000000C4400800080CC26000000005B
+:10036000000000000C4400800020CC2600000000AB
+:10037000000000000C44008000A0CC26000000001B
+:10038000000000000C4400800060CC26000000004B
+:10039000000000000C44008000E0CC2600000000BB
+:1003A000000000000C4400800090CC2600000000FB
+:1003B000000000000C4400800050CC26000000002B
+:1003C000000000000C4400800030CC26000000003B
+:1003D000000000000C44008000B0CC2600000000AB
+:1003E000000000000C4400800008CC260000000043
+:1003F000000000000C4400800088CC2600000000B3
+:10040000000000000C44008000C8CC260000000062
+:10041000000000000C44008000A8CC260000000072
+:10042000000000000C4400800098CC260000000072
+:10043000000000000C4400800058CC2600000000A2
+:10044000000000000C44004000E8CC260000000042
+:10045000000000000C4400200030CC26000000000A
+:10046000000000000C44002000B0CC26000000007A
+:10047000000000000C4400200070CC2600000000AA
+:10048000000000000C44002000F0CC26000000001A
+:10049000000000000C4400200008CC2600000000F2
+:1004A000000000000C4400200088CC260000000062
+:1004B000000000000C4400200048CC260000000092
+:1004C000000000000C44002000C8CC260000000002
+:1004D000000000000C4400200028CC260000000092
+:1004E000000000000C44002000A8CC260000000002
+:1004F000000000000C4400200068CC260000000032
+:10050000000000000C44002000E8CC2600000000A1
+:10051000000000000C4400200018CC260000000061
+:10052000000000000C4400A000E8CC260000000001
+:10053000000000000C4400E00040CC260000000059
+:10054000000000000C4400E00030CC260000000059
+:10055000000000000C4400E000B0CC2600000000C9
+:10056000000000000C4400E00070CC2600000000F9
+:10057000000000000C4400E000F0CC260000000069
+:10058000000000000C4400E00008CC260000000041
+:10059000000000000C4400E00088CC2600000000B1
+:1005A000000000000C4400E00048CC2600000000E1
+:1005B000000000000C4400E000C8CC260000000051
+:1005C000000000000C4400E00028CC2600000000E1
+:1005D000000000000C4400E000A8CC260000000051
+:1005E000000000000C4400E00068CC260000000081
+:1005F000000000000C4400E000E8CC2600000000F1
+:10060000000000000C44001000E8CC2600000000B0
+:10061000000000000C4400700030CC2600000000F8
+:10062000000000000C44007000B0CC260000000068
+:10063000000000000C4400700070CC260000000098
+:10064000000000000C44007000F0CC260000000008
+:10065000000000000C4400700008CC2600000000E0
+:10066000000000000C4400700088CC260000000050
+:10067000000000000C4400700048CC260000000080
+:10068000000000000C44007000C8CC2600000000F0
+:10069000000000000C4400700028CC260000000080
+:1006A000000000000C44007000A8CC2600000000F0
+:1006B000000000000C4400700068CC260000000020
+:1006C000000000000C44007000E8CC260000000090
+:1006D000000000000C4400700018CC260000000050
+:1006E000000000000C4400F000E8CC2600000000F0
+:1006F000000000000C4400880040CC2600000000F0
+:10070000000000000C4400880020CC2600000000FF
+:10071000000000000C44008800E0CC26000000002F
+:10072000000000000C4400880030CC2600000000CF
+:10073000000000000C44008800B0CC26000000003F
+:10074000000000000C44008800F0CC2600000000EF
+:10075000000000000C4400880008CC2600000000C7
+:10076000000000000C4400880088CC260000000037
+:10077000000000000C4400880048CC260000000067
+:10078000000000000C44008800C8CC2600000000D7
+:10079000000000000C4400880028CC260000000067
+:1007A000000000000C44008800A8CC2600000000D7
+:1007B000000000000C4400880018CC260000000057
+:1007C000000000000C4400880098CC2600000000C7
+:1007D000000000000C4400880058CC2600000000F7
+:1007E000000000000C44008000400C8500800A06D8
+:1007F000000000C300000000000000000000000036
+:1008000000000000000000000000000000000000E8
+:1008100000000000000000000000000000000000D8
+:1008200000000000000000000000000000000000C8
+:1008300000000000000000000000000000000000B8
+:1008400000000000000000000000000000000000A8
+:100850000000000000000000000000000200000096
+:100860000000000000000000000000000000000088
+:10087000000000000000000000000009000000006F
+:100880000000000000000000000000000000000068
+:100890000000000000000000000000000000000058
+:1008A0000000000000000000000000000000000048
+:1008B0000000000000000000000000000000000038
+:1008C0000000000000000000000000000000000028
+:1008D0000000000000000000000000000000010017
+:1008E0000000000000000000000000000000000008
+:1008F0000000000000000000FFFFFFFFFFFFFFFF00
+:10090000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7
+:10091000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7
+:10092000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7
+:10093000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7
+:10094000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7
+:10095000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7
+:10096000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97
+:10097000FFFFFFFFFFFFFFFFFFFF00484FE60C44B4
+:10098000008000100A060000004100000000000086
+:100990000000000000000000000000000000000057
+:1009A0000000000000000000000000000000000047
+:1009B0000000000000000000000000000000000037
+:1009C0000000000000000000000000000000000027
+:1009D00000000000000000000000000000002000F7
+:1009E0000000000003000000000000000000000004
+:1009F00000000300000000000000000000000000F4
+:100A0000000000000000000000000000FFFFFFFFEA
+:100A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6
+:100A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6
+:100A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6
+:100A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6
+:100A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6
+:100A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96
+:100A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86
+:100A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFF00E094
+:100A9000A2680C44008000D00A060000004100005B
+:100AA0000000000000000000000000000000000046
+:100AB0000000000000000000000000000000000036
+:100AC000000000000000000000000000A000000086
+:100AD0000000000000000000000000000000000016
+:100AE0000000000000000000000000000000000006
+:100AF00000000000000000000000000000000000F6
+:100B000000000000000000000000000000000000E5
+:100B100000000000000000000000000000000000D5
+:100B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5
+:100B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5
+:100B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5
+:100B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5
+:100B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95
+:100B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85
+:100B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75
+:100B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65
+:100BA000FFFF00B4613E0C44008000700A060000A4
+:100BB00000C3000000000000000000000000000072
+:100BC0000000000000000000000000000000000025
+:100BD0000000000000000000000000000000000015
+:100BE0000000000000000000000000000000000005
+:100BF00000000000000000000000000000000000F5
+:100C000000000000000000000000000000000000E4
+:100C10000000000000000000000000C00000000014
+:100C200000000020000000000000000000000000A4
+:100C300000000000000000000000000000000000B4
+:100C400000000000000000000000000000000000A4
+:100C50000000000000000000000000000000000094
+:100C60000000000000000000000000000000000084
+:100C70000000000000000000000000000000000074
+:100C80000000000000000000000000000000000064
+:100C90000000000000000000000000000000040050
+:100CA00000000000008000000000000000000000C4
+:100CB000000000000000FFFFFFFFFFFFFFFFFFFF3E
+:100CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34
+:100CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24
+:100CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14
+:100CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04
+:100D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3
+:100D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3
+:100D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3
+:100D3000FFFFFFFFFFFFFFFF0094E1F10C44008085
+:100D400000480A060000004100000000000000000A
+:100D50000000000000000000000000000000000093
+:100D60000000000000000000000000000000000083
+:100D70000000000000000000000000000000000073
+:100D80000000000000000000004000000000000023
+:100D90000000000000000000000000000000000053
+:100DA0000000000000000000000000000000000043
+:100DB0000000000000000000000000000000000033
+:100DC00000000000000000000000FFFFFFFFFFFF29
+:100DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23
+:100DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13
+:100DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03
+:100E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2
+:100E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2
+:100E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2
+:100E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2
+:100E4000FFFFFFFFFFFFFFFFFFFFFFFF00B8C64AE6
+:100E50000C44008000280A06000000410000000049
+:100E60000000000000000000000000000000000082
+:100E70000000000000000000000000000000000072
+:100E80000000000000000000000000000000000062
+:100E90000000000000000000000000000000000052
+:100EA0000000000000000000000000000000000042
+:100EB0000000000000000000000000000000000032
+:100EC00000000000000000000000000000600000C2
+:100ED0000000000000000000000000000000FFFF14
+:100EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12
+:100EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02
+:100F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1
+:100F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1
+:100F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1
+:100F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1
+:100F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1
+:100F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1
+:100F600000AC8E9F0C44008000680A0600008020C0
+:100F70000000000000000000000000000000000071
+:100F80000000000000000000000000000000000061
+:100F90000000000000000000000000000000000051
+:100FA0000000000000000000000000000000000041
+:100FB0000000000000000000000000000000000031
+:100FC0000000000000000000000000000000000021
+:100FD0000000000000000000000000000000008091
+:100FE0000000000000000000000000000000000001
+:100FF00000000000000000000000000000000000F1
+:1010000000000000000000000000000000000000E0
+:1010100000000000000000000000000000000000D0
+:1010200000000000000000000000000000000000C0
+:1010300000000000000000000000000000000000B0
+:1010400000000000000000000000000000000000A0
+:10105000000000000000000000000002000000008E
+:101060000000000000000000000000000000000080
+:1010700000008C00000000000000000000000000E4
+:101080000000000000000000000000000000000060
+:101090000000000000000000000000000000000050
+:1010A0000000000000000000000000000000000040
+:1010B0000000000000000000000000000000000030
+:1010C0000000000000000000000000000000000020
+:1010D0000000000000000000000000000000000010
+:1010E00000000820000000000000000000000000D8
+:1010F000000000000000FFFFFFFFFFFFFFFFFFFFFA
+:10110000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF
+:10111000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF
+:10112000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF
+:10113000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF
+:10114000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF
+:10115000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F
+:10116000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F
+:10117000FFFFFFFFFFFFFFFF005410730C440080D0
+:1011800000D80A06000060DB00000000000000003C
+:10119000000000000000000000000000000000004F
+:1011A000000000000000000000000000000000003F
+:1011B000000000000000000000000000000000002F
+:1011C000000000000000000000000000000000001F
+:1011D000000000000000000000000000000000000F
+:1011E00000000000000000000000000000000000FF
+:1011F00001000000002000000100000000000000CD
+:1012000000000000000000000000000000000000DE
+:1012100000000000000000000000000000000000CE
+:1012200000000000000000000000000000000000BE
+:1012300000000000000000000000000000000000AE
+:10124000000000000000000000000000000000009E
+:10125000000000000000000000000000000000008E
+:10126000000000000000000000000000004000003E
+:10127000000000000040000000000000000000002E
+:10128000000000000000000000000000000000005E
+:10129000000000000000000000000000000000004E
+:1012A000000000000000000000000000000000003E
+:1012B000000000000000000000000000000000002E
+:1012C000000000000000000000000000000000001E
+:1012D000000000000000000000000000000000000E
+:1012E00000000000000000000000000000000000FE
+:1012F00000100800000000000001090000000000CC
+:1013000000000000000000000000000000000000DD
+:1013100002000000000000000000000000000000CB
+:1013200000000000000002000000000000000000BB
+:1013300004000200000000000000000000000000A7
+:101340000000000000000400000000000000004059
+:101350000000040004000000000000000000000085
+:10136000000000000000000000000000000000007D
+:10137000000000000000000000000000000000006D
+:10138000000000000000000000000000000000005D
+:10139000000000000000000000000000000000004D
+:1013A000000000000000000000000000000000003D
+:1013B000000000000000000000000000000000002D
+:1013C000000000000000000000000000000000001D
+:1013D000008000000000000000000000000000008D
+:1013E00000000000000000000000000000000000FD
+:1013F00000000000000000000000000000000000ED
+:1014000000000000000000000000000000000000DC
+:1014100000000000000000000000000000000000CC
+:1014200000000000000000000000000000000000BC
+:101430000000000000200400800000000000000008
+:10144000000000000000000000000000000000009C
+:101450000004000000000000000004000000000084
+:10146000000000010000000000000000000000007B
+:10147000000000000000000000000000000000006C
+:101480000000000000000000000008000000000054
+:10149000000000000000000000000010000000003C
+:1014A000000000000000000000000000000000003C
+:1014B0000000000000000080010004210000000086
+:1014C000000000100000000000000000000000000C
+:1014D000000000800080000000000000010000000B
+:1014E00000000000002000000000000000000000DC
+:1014F00000000000000000000000000000000000EC
+:1015000000000000000000000000000001000000DA
+:1015100000000000000000000000000000000000CB
+:1015200000000000001000000000000000000000AB
+:10153000000000000000000000000000800000002B
+:10154000000000000000000000000000002000007B
+:10155000000000000000000000000000000000008B
+:10156000000000000000000000000000000000007B
+:10157000000000000000000000000000000000006B
+:10158000000000000000200000000000000000003B
+:10159000000000000000000000000000000000004B
+:1015A000000000000000000000000000000000003B
+:1015B00000000000000000000000000000004000EB
+:1015C000000000000000000000000000000000001B
+:1015D000000000000000000000000000000000000B
+:1015E00000000000000000000000000000000000FB
+:1015F00000000000000000000000A000000000004B
+:1016000000000000000000000000000000000000DA
+:1016100000000000000000000000000000000000CA
+:1016200000000000000000000000000000000000BA
+:10163000000100000000210000200005000000045F
+:101640000001000000000020002000000000000059
+:10165000000000000000000000000000000000008A
+:10166000000000000000000000000000000000007A
+:10167000000000001000000000000000000010004A
+:10168000000000000000000000000000000000005A
+:10169000000000000000000000000000000000004A
+:1016A0000000000000000000000000000000080032
+:1016B000000008040000000000000080008400001A
+:1016C0000080000800000000008000800000000092
+:1016D000000000000000000000000000000000000A
+:1016E00000000000000000000000000000000000FA
+:1016F00000000000000000000000000000000000EA
+:1017000000001000000000000000000000000000C9
+:1017100000000000000000000000000000000000C9
+:1017200000000000000000000000000000000000B9
+:1017300000000000000000000000000009000000A0
+:101740000400000400000000000000000000000091
+:101750000000000000200000000000000000000069
+:101760000000000000000400000000000000000075
+:101770000000000000000000000000000000000069
+:101780000000000000000000000000000000000059
+:101790000000410000000000000000000000000008
+:1017A0000000000000000000000000000000000039
+:1017B0000000000000000000000000000000000029
+:1017C0000000010000800000000000000000000098
+:1017D0000000000000000000000000000000000009
+:1017E00000000000000000000100000000000000F8
+:1017F00000000000000000000000000000000000E9
+:1018000000000000000000000000000000000000D8
+:1018100000000000000000000000000000000000C8
+:1018200000000000000000000000000000000000B8
+:101830000000000000000000200000000000000088
+:101840000000800100018000800100C00000809045
+:101850008000000000000000000000000000000008
+:1018600000000000000000000000800000000000F8
+:1018700000000000000000000000000080000000E8
+:101880008000800000000000000000004100000017
+:101890000000000000000000000000000000000048
+:1018A0000000000000000000000000000000000038
+:1018B0000000000000000000000001000000000027
+:1018C000000000000240002004000410000000009E
+:1018D00020002000000000000000000000000000C8
+:1018E0000000000000400000000000002000000098
+:1018F00000000000000000000000000000000800E0
+:1019000000000400040000000000000000000000CF
+:1019100000000000000000000000000000000000C7
+:1019200000000000000000000000000000000000B7
+:1019300000000000000000000000000000000000A7
+:101940000000000000000883891121894011000077
+:101950000000000000000000000000000000000087
+:1019600000000000000000800000000000000000F7
+:101970000000000000000000000000000000000067
+:101980000000000000000000000000000000000057
+:101990000000000000000000000000000000000047
+:1019A0000000000000000000000000000000000037
+:1019B0000000000000000000000000000000000027
+:1019C000000000000000000089838284C1890001BA
+:1019D00000000000100000000000000000000000F7
+:1019E00010000000000000000000000000000000E7
+:1019F00000000000000000000000000000000000E7
+:101A000000000000000000000000000000000000D6
+:101A100000000000000000000000000000000000C6
+:101A200000000000000000000000000000000000B6
+:101A300000000000000000000000000000000000A6
+:101A4000010000000000000000009001C1828988B0
+:101A50009190000000000002000100000000000062
+:101A60000000000000000000010000000000000075
+:101A70000000000000000000000000000000000066
+:101A8000000000000000000088008200000000004C
+:101A90000000000000000000000000000100000045
+:101AA000000000000100000000000008000000002D
+:101AB0000000000000000000000000000000000026
+:101AC00000000900000000000000000011108280EA
+:101AD00090919189000000000000000300000000C8
+:101AE00000000000090000000000090000000000E4
+:101AF00000000000001000000000000000000000D6
+:101B000000000000000000000000800080000000D5
+:101B100000000000000000000000000000002000A5
+:101B200000000000000040000000000000800000F5
+:101B300000000000000000000000000000000000A5
+:101B400000000000000000000000000000001089FC
+:101B5000808511A1C0090000000002000000000003
+:101B60000000000000000000000000000000000075
+:101B70000000000011000080000000000200008052
+:101B800000000000000000000000000000000080D5
+:101B90000000000000000000000000000000000045
+:101BA0000000000000000000000000000000000035
+:101BB0000000000000000000000000000000000025
+:101BC0000000000000000000000000000000A00075
+:101BD0000189C08583898940000000000000100051
+:101BE00000000000000000000000000000000000F5
+:101BF000000000000000000000880000000001005C
+:101C0000008800000000000000000000000000004C
+:101C1000008800000000000000000000000000003C
+:101C200000000000000000000000000000000000B4
+:101C300000000000000000000000000000000000A4
+:101C40000000000000000000000000000000000094
+:101C5000000083898991090108C00000008200000A
+:101C60000000000000000000000000000000000074
+:101C700000000000000000000000000001880000DB
+:101C8000000000000000000000000000000900004B
+:101C900000000088000000000000000000000000BC
+:101CA0000000000000000000000000000000000034
+:101CB0000000000000000000000000000000000024
+:101CC0000000000000000000000000000000000014
+:101CD00000000000898285C18909C00000000080E1
+:101CE00000000000000000000000000000000011E3
+:101CF000001000000000000000000000000009804B
+:101D00000000000000000000000000000000009142
+:101D10000000000000800000000000000000000043
+:101D200000000000000000000000000000000000B3
+:101D300000000000000000000000000000000000A3
+:101D400000000000000000000000C10000000000D2
+:101D5000000B0000000000000042480021000000CD
+:101D60000006600082840000000000000000008285
+:101D70000000C1000000000000000022E0000000A0
+:101D8000000000220200000000000000000000002F
+:101D900081000021000000000000000000000000A1
+:101DA0000000000000000000000000000000000033
+:101DB0000000000000000000000000000000000023
+:101DC0000000000000000000000000000000000013
+:101DD0000000000000000000010180800000000001
+:101DE00000000000000000000000000000000000F3
+:101DF00000000000000000000000000000000000E3
+:101E000000000000FFFF00000000000000000000D4
+:101E100000000000000000000000000000000000C2
+:101E200000000000000000000000000000000000B2
+:101E300000000000000000000000000000000000A2
+:101E40000000000000000000000000000000000092
+:101E50000000000000000000000001018000FFFF02
+:101E600099190000000000000000000000000000C0
+:101E70000000000000000000000000000000000062
+:101E8000000000000000FFFF000000000000000054
+:101E90000000000000000000000000000000000042
+:101EA0000000000000000000000000000000000032
+:101EB000000000000000000000000000FFFFFFFF26
+:101EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22
+:101ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12
+:101EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02
+:101EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2
+:101F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1
+:101F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1
+:101F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1
+:101F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFF009817
+:101F4000EF1D0C44004000180A060000304D000050
+:101F50000000000000000000000000000000000081
+:101F60000000FFFF00000000000000000000FFFF75
+:101F7000FEFEFFFFFFFF0000000000000000000069
+:101F80000000000000000000000042427E7E0000D1
+:101F90000000000000000000000000000000000041
+:101FA0000000000000000000000000000000000031
+:101FB0000000000000000000000000000000000021
+:101FC0000000000000000000000000000000000011
+:101FD0000000000000000000000000000000000001
+:101FE00000000000FFFF00000000000000000000F3
+:101FF00066FFFEFEFEFFFFFF000000000000000085
+:102000000000000000000000000000000000FFFFD2
+:1020100000000000000000000000000000000000C0
+:1020200000000000000000000000000000000000B0
+:1020300000000000000000000000000000000000A0
+:102040000000000000000000000000000000000090
+:102050000000000000000000000000000000000080
+:10206000000000000000000000000086006000B0DA
+:10207000000000820AB000800080008600F1A8B055
+:10208000000500000000000000000000000408003F
+:1020900000000000008600610090000500860061DD
+:1020A0000890000000000000000000000006006032
+:1020B000038000000000000000000000000000009D
+:1020C000000000000000008001000000000000008F
+:1020D00009000000000000000000000000000000F7
+:1020E00000000000000000000000000000000000F0
+:1020F000000000005550545428AA000000000000C1
+:1021000000000000000000000000000000000000CF
+:1021100000000000000000000000FFFF00000000C1
+:102120000000FFFF000000000000000000000000B1
+:102130000000FFFF000000000000000000000000A1
+:10214000000000000000000000200000000000006F
+:10215000002000000100000000000000000000005E
+:10216000000000000000000000000000000000006F
+:102170000000000000005450515002000000000018
+:10218000000000000000000000000000000000004F
+:10219000000000000000000000000000FFFF000041
+:1021A00000000000FFFF0000000000000000000031
+:1021B00000000000FFFF0000000000000000000021
+:1021C000000000000000000000000000000002000D
+:1021D00000400000000002000000000000000000BD
+:1021E00000000000000000000000000000000000EF
+:1021F0000000000000000000FFFFFFFF00C000C063
+:1022000000000000000000000000000000000000CE
+:1022100000000000000000000000000000000000BE
+:1022200000000000000000000000000000000000AE
+:10223000000000000000AAAA55AA0000000000004B
+:10224000000000000000000000004000000000004E
+:10225000000000000000000000000000000000007E
+:10226000000000000000000000000000000000006E
+:1022700000000000000000000000FFFAFFAA40007C
+:10228000C00000000000000000000000000000008E
+:10229000000000000000000000000000000000003E
+:1022A000000000000000000000000000000000002E
+:1022B0000000000000000000555500FF0000000075
+:1022C000000000000000000000000000000000000E
+:1022D00000000000000000000000000008000200F4
+:1022E00000000000000000000000000000000000EE
+:1022F00000000000000000000000000000000400DA
+:1023000000000000000000000000000000000800C5
+:1023100000000000000000000000000000C00000FD
+:1023200004000000000000000000000000000000A9
+:10233000000000000000000000000000000000009D
+:10234000000000000000000000000000000000008D
+:10235000000000000000000000000000000001007C
+:10236000000000000000000000000000000000006D
+:10237000000000000000000000000000000000005D
+:10238000000000000000000000000000000000004D
+:10239000010000000000000000000000000000003C
+:1023A000000000000000000000000000000000002D
+:1023B000000000000000000000000000000000001D
+:1023C000000000000000000000000000000000000D
+:1023D00000000000000000000000000000000000FD
+:1023E00000000001000110000000000011000000CA
+:1023F000000080000000000000020000000000005B
+:10240000000100000000000040008000000000000B
+:10241000000000100000800000000000000000002C
+:1024200000000000000400040000000000000000A4
+:10243000000000000000000000000000000000009C
+:10244000000000000000000000000000000000008C
+:10245000000000000000000000000000000000007C
+:1024600000100010001000400100000000000000FB
+:1024700000100000200000000000008000000000AC
+:102480008221000200000000000001002000000086
+:1024900000100000008000000400000000000000A8
+:1024A00000000080000000800080000000000000AC
+:1024B000000000100000000000000000000000000C
+:1024C000000000000000000000000000000000000C
+:1024D00000000020000000000000000000000000DC
+:1024E00000000080000000000090020000000000DA
+:1024F000000000820000000000000040000000001A
+:1025000000080000000000000000000000000000C3
+:102510000000008400000000000000000000000037
+:102520000000000400000000004000000000000067
+:102530000000000000000000000200000004002075
+:10254000000000000000000000000000000000008B
+:10255000000000000000000000000000000000007B
+:10256000000000000000000000000000010000006A
+:1025700000000000000000000000900000000000CB
+:1025800000000080000000000000000000000000CB
+:10259000000000000000000000000000000000003B
+:1025A00000000000008000000000000000000000AB
+:1025B000000000000000000000000080000000801B
+:1025C0008880000000000000000000000000000003
+:1025D00000000000000000000000000000000000FB
+:1025E000000000000000000000000010004000A1FA
+:1025F00000000302000000000000000004000020B2
+:102600002100410010000000008800000090004000
+:1026100000004100009000000000080000000400DD
+:1026200000000800000000000000000000000000A2
+:102630001000038400000000000000000000000003
+:102640000000000000010008000100001000000070
+:102650000000000000000200000000000000000078
+:10266000000000000000000000000000008000806A
+:102670000040000000800000000000000000010099
+:102680000080000000000100000082000000000047
+:1026900000800800000000000000000001000000B1
+:1026A0000100000000000000000000000000000029
+:1026B0000000010000000000000000000000000019
+:1026C0000000000000000002008000040000000084
+:1026D00000000000000000000000000000000000FA
+:1026E00000000000000000000000000000000000EA
+:1026F00002000020000000004100008800002000CF
+:1027000000000000000010000000040000000000B5
+:10271000A1010000000000004020000100000000B6
+:102720000000000000400000040004A000000000C1
+:10273000200000000001002041A000000020000057
+:1027400010000000040080200000000000000000D5
+:102750000000000000000000000000000000000079
+:102760004100000000000000000000000000000028
+:102770000000010000000000000000000000000058
+:102780000100000000000003000000000103100031
+:1027900000002004000000000000008084400000D1
+:1027A0000000000000000000000001110300000014
+:1027B0000000010000000020000000000000008078
+:1027C0000000000000000100048000000000000084
+:1027D00000000000000000000000000000000000F9
+:1027E000000000000000000000000000C000000029
+:1027F00000000000000000840000000000000082D3
+:102800000000000000000000000041000000200067
+:102810000000000000000920020400900000008574
+:102820000000000000000000040004000800080090
+:102830000000000000000090008400840000000000
+:102840000400000000C004000001000000000000BF
+:102850000000000000000000000000000000000078
+:102860000000000000000000000000000000000068
+:102870000000000000000000000000000000000058
+:102880000000000000000000000000000000000048
+:1028900001000000000000008880018000000000AE
+:1028A0000020000000000000000001000100000006
+:1028B000000000C000000000000000050000000053
+:1028C0000000010000000000010000048200000080
+:1028D00000000000000000000000000000000000F8
+:1028E00000000000000000000000000000000000E8
+:1028F0000000000000008000110000000000000047
+:102900000000000000100040001100001000020054
+:10291000000004001100A000020020000880000058
+:102920000200008089031100411100000000020034
+:1029300000000000008000100008210000020000DC
+:1029400000000000000000000000800000004000C7
+:102950000080000000800000000000000000000077
+:102960000000000000000000000000000000000067
+:10297000000000000000000008000000000000004F
+:102980000000000000000000000104000000000042
+:102990000000000000000100050000000100020826
+:1029A00000C0840400100801C10001000000000004
+:1029B0000000000000000000000102010100000111
+:1029C0000000000000000000000000008200000085
+:1029D0000102000400A0000400000000000000004C
+:1029E00000000000000000000000000000000000E7
+:1029F000000000000000000040008800100082017C
+:102A000000000000000000000000000000080000BE
+:102A10000000000000000001019000000000010023
+:102A2000000000010082010000A000100001010070
+:102A3000000400000004000100000100010001008A
+:102A400001000000000000000000C000000089003C
+:102A50000300000000000000010000000000000072
+:102A60000000000000000000000000000000000066
+:102A700000000100000000000000090004008000C8
+:102A800089050900000009004000000000000080E6
+:102A9000000000000000000000041180030003009B
+:102AA0001000080000410000031000000080000238
+:102AB00003000000000000000003000020002000D0
+:102AC00020002002000000100000000000000000B4
+:102AD00008002004000005000000030000000000C2
+:102AE00000000000000000000000000000000000E6
+:102AF0000000000040000000000000000000000096
+:102B0000090000800000000000000000000000003C
+:102B100000004000001000410000004100210011B1
+:102B200000A18003008000080008808309080008D5
+:102B300000808883000004000000000000000008FE
+:102B400000080088850500000000000000000080EB
+:102B500000000080800000100000801000000000D5
+:102B60000000000000000000000000000000000065
+:102B7000000000000000000000000000000000A0B5
+:102B800000100000008200000000000000000000B3
+:102B9000000000000500000000000000400000915F
+:102BA00000910005108902080010020482110088BB
+:102BB000004000A088830000000000000400000026
+:102BC0000011000900A1894100000000000004007C
+:102BD00000A00088000884020000C000040000007B
+:102BE00000000000000000000000000000000000E5
+:102BF00000000000000000000000000000000000D5
+:102C00000001000000000082000000000000000041
+:102C100000000000000000000000000000000001B3
+:102C2000000000010000000000040084000000001B
+:102C30000100000100000000000000000000000092
+:102C4000000000C000410001000000000000000082
+:102C5000000100000000000000A0000000000000D3
+:102C60000000000000000000000000000000000064
+:102C70000000000000000000000000000000000054
+:102C8000000000020000000400800000001100109D
+:102C90000000000000000000000000000000000034
+:102CA00000100000004000030000000000110011AF
+:102CB00000000300000800081100000000000000F0
+:102CC00000000000000000400002000000000000C2
+:102CD00000000009000000000000000000000005E6
+:102CE00000050000000000000000000000000000DF
+:102CF00000000000000000000000000000000000D4
+:102D00000000000000000000000000820000000041
+:102D10000000000000000006C100000000000042AA
+:102D200048000000000000424800000000000006CB
+:102D300060000082820000070040000000000021C7
+:102D400048000048000082000007840000000000E6
+:102D500000210000000000000021E00000840000CD
+:102D600000218400000000000000000000000000BE
+:102D70000000000000000000000000000000000053
+:102D80000000000000000000000000000000000043
+:102D90000000000000000000000000000000000033
+:102DA000000055555555000000005F1E00C300008F
+:102DB00000000AAAA005AAAA1414282806060000E2
+:102DC000000000000000000000000000000300C33D
+:102DD00000000000000000000000000000000000F3
+:102DE00000000000FFBEFFBE000000000000000069
+:102DF00000000000000000000000000000000000D3
+:102E000000000000000000000000000000000000C2
+:102E100000000000000000000000000000000000B2
+:102E2000000000005C5C5555000000005F1E0000C3
+:102E3000000000000AAAA005282882822828060689
+:102E4000000000000000000000000000000000037F
+:102E500000C30000000000000000000000000000AF
+:102E6000000000000000FFBEFFBE000000000000E8
+:102E70000000000000000000000000000000000052
+:102E80000000000000000000000000000000C0C0C2
+:102E900000000000000055000000FF00FA0A0000DA
+:102EA0000000000000000000000000000000000022
+:102EB0000000000000002828C3C3A0A00000AAAAA8
+:102EC0000000000000000000000000000082000080
+:102ED000FFFFFFFF000000000000000000000000F6
+:102EE000FFFFFF00000000000A0A000000000000D1
+:102EF00000000000000000000000000000000000D2
+:102F000000000000000000000000000000000000C1
+:102F10000000000000000000C3000000FF00FA0AEB
+:102F200000000000000000000000000000000000A1
+:102F30000001000000000000414182820505FAFA0C
+:102F400000000000000000000000000000000082FF
+:102F50000000FFFFFFFE0000000000000000000076
+:102F60000000FFFFFF000000000000000A0A000050
+:102F70000000000000000000000000000000000051
+:102F800000000000000000000000000000000086BB
+:102F9000006000B00000000000000000008000861B
+:102FA00000E108B000000086006108B80005008656
+:102FB000006100B20080A08650F108B5490000C74A
+:102FC0000060011051000086006108B0000500009B
+:102FD00000860070019000000086006100B00000D3
+:102FE000008600E008C004850086004108B000109B
+:102FF00000000000000000000000000000000000D1
+:1030000000000000000000000000000000000000C0
+:10301000000000003535555500000000000000009C
+:1030200000000000000000000000000000000000A0
+:10303000000000000F000FFF00000000010501006C
+:1030400000000000696904EB0000000000000000BF
+:1030500000000000000000FF34CB00000000000072
+:10306000000000000000FFFCFCFC0000000000006D
+:103070000000000000000000000000000000000050
+:1030800000000000000000000000000000800100BF
+:1030900000000000000035353C3C0000000000004E
+:1030A0000000000000000000000000000000000020
+:1030B000000000000000553C553C000000000105E8
+:1030C000010000000000555500FF00000000000056
+:1030D000000000000000000000FF00FF00000000F2
+:1030E0000000000000000000FFFCFCFC00000000ED
+:1030F00000000000000000000000000000000000D0
+:10310000000000000000000000000000000000209F
+:103110000000000000000000AAAA555500000000B1
+:10312000000000000000000000000000000000009F
+:10313000000000000000000000000000C000CF0000
+:10314000000000000000000000000000000000007F
+:10315000000000000000000000000000000000006F
+:1031600000000000000000000000FFFFFF7D2200C3
+:10317000002200000000000000000000000000002D
+:10318000000000000000000000000000000000003F
+:1031900000000000020000000000AAA3555C00002F
+:1031A000000000000000000000000000000000001F
+:1031B000000000000000000000000000000000000F
+:1031C00000000000000000000000000000000000FF
+:1031D00000000000000000000000000000000000EF
+:1031E000000000000000000000000000FFFFFF7D65
+:1031F00011000011000000000000000000000000AD
+:1032000000000000000000000000000000000000BE
+:10321000400000000000000000000000000000006E
+:10322000000000000000040000000000000000009A
+:10323000000000000000000000000000000000008E
+:10324000000000000000000000000000000000007E
+:10325000000000000000000000000000000000006E
+:10326000000000000000000000000000000000005E
+:10327000000000000000000000000000000000004E
+:10328000000000000000000000000000000000003E
+:103290000000000000000000000000000800000026
+:1032A0000800020000000000000000000000020012
+:1032B000000000000000000000000000000000000E
+:1032C00000000000000000000000000000000000FE
+:1032D00000000000000000000000000000000000EE
+:1032E00000000000000000000000000000000000DE
+:1032F00000000000000000000000000000000000CE
+:1033000000000000000000000000000000000000BD
+:10331000000000000000000000000000004000006D
+:10332000000000000000000000000000000000009D
+:10333000000000000000000000000000000000008D
+:10334000000000000000000000000000000000007D
+:10335000000000000000000000000000000000006D
+:10336000000000000000000000000000000000005D
+:10337000000000000000000000000000000000004D
+:10338000000000000000000000000000000000003D
+:10339000000000000000000000000000000000002D
+:1033A0000000000000000000840000000000002079
+:1033B00000000000000000000000000000400000CD
+:1033C00000000000000000000000000000000000FD
+:1033D00000000000000000000000000000200000CD
+:1033E00000000000000000000000000000000000DD
+:1033F00000000000000000000000000000000000CD
+:1034000000000000000000000000000000000000BC
+:1034100000000000000000000000000000000000AC
+:10342000000000000000000000000000000000009C
+:103430000000000000000000000000A000000010DC
+:103440000000000000000000000000000900000073
+:10345000110000000000000011000000000409003D
+:1034600000000004000400880204000400040004BA
+:10347000000000000000000000000000000000004C
+:10348000000000000000000000000000000000003C
+:10349000000000000000000000000000000000002C
+:1034A0000000000000000020000000000000880074
+:1034B0000200880000000000C000000000000200C0
+:1034C000000200008200A0000000000000000000D8
+:1034D00000410800084108410800000500050005FA
+:1034E00000410000004100000000880000000002D0
+:1034F0000000A0000000000000000000000000002C
+:1035000000000000000000000000000000000000BB
+:1035100000000000000000000000000000000000AB
+:10352000000000000000000000001000000000008B
+:103530000000000000000008000000000000000083
+:10354000000000000000000000000000000000007B
+:10355000000000000000000000000000000000006B
+:10356000000000000000000000000000000000005B
+:1035700000000000000000880000000000000000C3
+:1035800000000000009000000000000000000000AB
+:10359000000000000000000000000000000000002B
+:1035A000000000000000000000000000000000001B
+:1035B000000000000000000000000000000000000B
+:1035C00000000000000000000000000000000000FB
+:1035D00000000000000800000000000000000000E3
+:1035E00000000000000000000000000000000000DB

[... 20185 lines stripped ...]



More information about the dahdi-commits mailing list